Configuración

General


Notificaciones

Volumen Notificaciones     


Sonidos


Notificación
  


Gol
  


Portada


Visualizar Galería
Visualizar Videos

Informe Arbitral

Torneo de Liguilla Ascenso / Temporada 2014-2015
Sábado, 16 de Mayo de 2015
13:00 hrs.
Club

Cuauhtémoc

  • Sin designar
  • Sin designar
OF-VMarcador Oficial1 - 2
Club

Lobos BUAP

  • Sin designar
  • Sin designar

Goles

Titulares

Suplentes

Cuerpo Técnico

Local

Visitante

Cambios

Local

Visitante

Tarjetas

Visitante

    Sin información
BBVA
Voit
Konami
Charly